当前位置: 首页 > news >正文

fpga系列 HDL : Microchip FPGA开发软件 Libero Soc 项目仿真示例

  • 新建项目
    在这里插入图片描述

  • 项目初始界面中创建或导入设计文件:
    在这里插入图片描述

  • 新建HDL文件
    在这里插入图片描述

module test (input      [3:0] a,input      [3:0] b,output reg [3:0] sum,output reg       carry_out
);always @(*) begin{carry_out, sum} = a + b;
endendmodule
  • 点击此按钮可进行项目信息的重新配置:
    在这里插入图片描述

  • 综合:
    在这里插入图片描述

  • Libero Soc RTL Viewer

在这里插入图片描述

在这里插入图片描述

`timescale 1 ns / 1 psmodule test_tb;reg  [3:0] a;
reg  [3:0] b;
wire [3:0] sum;
wire       carry_out;test uut (.a         (a),.b         (b),.sum       (sum),.carry_out (carry_out)
);initial begina = 4'b0000; b = 4'b0000; #10;a = 4'b0011; b = 4'b0101; #10; // 3 + 5 = 8 (sum=1000, carry=0)a = 4'b1111; b = 4'b0001; #10; // 15 + 1 = 16 (sum=0000, carry=1)a = 4'b1010; b = 4'b0110; #10; // 10 + 6 = 16 (sum=0000, carry=1)$finish;
endinitial begin$monitor("At time %t: a=%b (%d), b=%b (%d) => sum=%b (%d), carry_out=%b",$time, a, a, b, b, sum, sum, carry_out);
endendmodule

在这里插入图片描述

  • 或者
    在这里插入图片描述

在这里插入图片描述

http://www.xdnf.cn/news/485245.html

相关文章:

  • Femap许可优化策略
  • 如何选择靠谱的外卖霸王餐系统进行对接?
  • 编译opencv4.11gstreamer 参考
  • 4.3/Q1,Charls最新文章解读
  • 车道线检测----CLRERNet
  • 如何备考GRE?
  • python中列表的操作
  • [基础] HPOP、SGP4与SDP4轨道传播模型深度解析与对比
  • 华三防火墙的IRF和RBM
  • HarmonyOs开发之——— ArkWeb 实战指南
  • 【51单片机中断】
  • 深入解析:构建高性能异步HTTP客户端的工程实践
  • 解锁AI:从开发密码到行业应用版图
  • 炒股中如何控制风险:构建攻守兼备的投资体系
  • 翼兴消防监控 – 大数据可视化HTML源码
  • MySQL——十一、主从复制
  • java-----线程池
  • MIMO 检测(3)--最大SINR准则(MRC、IRC)
  • 【Spark】-- DAG 和宽窄依赖的核心
  • Day27 Python打卡训练营
  • 《指针与整数相加减的深入解析》
  • asp.net自动保存上传文件时指定文件名,MultipartFormDataStreamProvider
  • Flask框架入门与实践
  • LangPDF: Empowering Your PDFs with Intelligent Language Processing
  • YOLO11解决方案之对象裁剪探索
  • 大模型崛起:从技术突破到产业变革的深度解析
  • 【node】中间件
  • MySQL基础面试通关秘籍(附高频考点解析)
  • Promise.all 详解
  • java后端学习