当前位置: 首页 > news >正文

关于systemverilog中在task中使用force语句的注意事项

先看下面的代码

module  top(data);logic clk;
inout data;
logic temp;
logic sampale_data;
logic [7:0] data_rec;task  send_data(input  [7:0] da);begin@(posedge clk);#1;force 	 data=da[7];$display(data);@(posedge clk);#1;force	 data=da[6];	$display(data);		@(posedge clk);#1;force	 data=da[5];$display(data);@(posedge clk);#1;force	 data=da[4];$display(data);@(posedge clk);#1;force	 data=da[3];	$display(data);@(posedge clk);#1;force	 data=da[2];$display(data);@(posedge clk);#1;force	 data=da[1];$display(data);@(posedge clk);#1;force	 data=da[0];	$display(data);end
endtask
initial begin#1000;@(negedge clk);send_data(8'b1100_1100);$stop;send_data(8'b0011_0011);$stop;endalways @(posedge clk)beginsampale_data<=data;data_rec<={data_rec[6:0],data};endinitial beginclk=0;forever #5 clk=~clk;end
endmodule

仿真波形结果如下:

        在第一次调用send_data(8'b1100_1100);后,最后一个比特输出应该是0,但是从仿真波形看是1,这是因为第一次调用send_data(8'b1100_1100)时,最后一次force后,task任务立即退出,执行第二次调用send_data(8'b0011_0011);第二次调用task会将第一次调用task的force语句赋值覆盖。但是第二次调用task的第一次force是在时钟上升沿之后,而且强制赋值也是0,不明白为什么仿真波形中标记红色的显示为1。通过$display打印出每次force赋值后的值是正确的。目前尚不清楚原因。

        通过sampale_data对data进行采样发现,采集的数据错误。所以按照上面的方法写的激励不对。

        为了解决上述问题,将上述代码更改如下:

module  tb(data);logic clk;
inout data;
logic temp;
logic sampale_data;
logic [7:0] data_rec;task  send_data(input  [7:0] da);begin@(negedge clk);#1;force 	 data=da[7];$display(data);@(negedge clk);#1;force	 data=da[6];	$display(data);		@(negedge clk);#1;force	 data=da[5];$display(data);@(negedge clk);#1;force	 data=da[4];$display(data);@(negedge clk);#1;force	 data=da[3];	$display(data);@(negedge clk);#1;force	 data=da[2];$display(data);@(negedge clk);#1;force	 data=da[1];$display(data);@(negedge clk);#1;force	 data=da[0];	$display(data);#7;
/* 		@(posedge clk);release data; */end
endtask
initial begin#1000;@(negedge clk);send_data(8'b1100_1100);//@(posedge clk);$stop;send_data(8'b0011_0011);release data;$stop;endalways @(posedge clk)beginsampale_data<=data;data_rec<={data_rec[6:0],data};endinitial beginclk=0;forever #5 clk=~clk;end
endmodule

更改的地方主要有两点:

(1)在时钟的下降沿使用force强制赋值。

(2)在task中最后一次force时,延时时间大于时钟周期的一半,小于一个时钟周期。

通过仿真波形,以及$display打印结果分析,这样写激励是正确的。

http://www.xdnf.cn/news/534547.html

相关文章:

  • 核保核赔的集中管理方案
  • GO语言学习(五)
  • 【C/C++】C++并发编程:std::async与std::thread深度对比
  • GPFS故障实际生产故障处理分析
  • 告诉我,pavucontrol的用法,我连接耳机的时候,输入设备应该使用什么呢?
  • AI神经网络降噪 vs 传统单/双麦克风降噪的核心优势对比
  • Ktransformers0.3框架的api访问接口程序
  • vue2.0 组件生命周期
  • LLaMA-Factory:了解webUI参数
  • Mysql 刷题 day06
  • Image and depth from a conventional camera with a coded aperture论文阅读
  • “保证医疗器械信息来源合法 真实、安全的保障措施、情况说明及相关证明”模板
  • 滑动窗口算法详解:从理论到实战(LeetCode 3 438)
  • 自动化测试的框架有哪些?原理是什么?
  • 深入掌握MyBatis:连接池、动态SQL、多表查询与缓存
  • springboot+mybatis或mybatisplus在进行%name%的前后模糊查询时如何放防止sql注入
  • 汇川MD810-20M4110GXXX变频器为什么要加GRJ9000S电源滤波器?
  • C# 深入理解类(属性)
  • python打卡day30
  • Navicat连接开启sm3认证的瀚高数据库
  • 网络请求和状态管理
  • SAP学习笔记 - 开发13 - CAP 之 添加数据库支持(Sqlite)
  • 《虚实共生:双向映射重塑具身智能决策逻辑》
  • 5.19 打卡
  • 存储系统02——Libevent事件循环
  • Interrupt 2025 大会回顾:关于LangChain 的 AI Agent会议内容总结
  • anythingLLM支持本地大模型嵌入知识库后进行api调用
  • Linux 系统异常触发后自动重启配置指南
  • 深入解析PyTorch中MultiheadAttention的参数key_padding_mask与attn_mask
  • 【AI时代】Java程序员大模型应用开发详细教程(上)