当前位置: 首页 > news >正文

ODDR实现多bit单边沿采样数据转为多bit双沿采样数据

ODDR实现多bit单边沿采样数据转为多bit双沿采样数据
https://bbs.elecfans.com/m/jishu_2030303_1_1.html

module ddr_16to8_output_alt (
input wire clk,
input wire rst,
input wire [15:0] data_in,
input wire data_valid,
output wire [7:0] ddr_out,
output wire busy
);

// 内部信号
reg [3:0] bit_counter;
reg [15:0] data_reg;
reg busy_reg;

// 状态控制
always @(posedge clk) begin
if (rst) begin
bit_counter <= 4'b0;
busy_reg <= 1'b0;
data_reg <= 16'b0;
end else begin
if (data_valid && !busy_reg) begin
data_reg <= data_in;
bit_counter <= 4'b0;
busy_reg <= 1'b1;
end else if (busy_reg) begin
if (bit_counter == 4'd7) begin
busy_reg <= 1'b0;
end
bit_counter <= bit_counter + 1;
end
end
end

// 生成8个ODDR原语
genvar i;
generate
for (i = 0; i < 8; i = i + 1) begin : oddr_gen
// 为每个ODDR选择适当的数据位
wire rise_bit = data_reg[bit_counter * 2 + (i % 2 ? 0 : 1)];
wire fall_bit = data_reg[bit_counter * 2 + (i % 2 ? 1 : 0)];

ODDR #(
.DDR_CLK_EDGE("OPPOSITE_EDGE"),
.INIT(1'b0),
.SRTYPE("SYNC")
) ODDR_inst (
.Q(ddr_out[i]),
.C(clk),
.CE(1'b1),
.D1(rise_bit),
.D2(fall_bit),
.R(rst),
.S(1'b0)
);
end
endgenerate

assign busy = busy_reg;

endmodule

http://www.xdnf.cn/news/1340965.html

相关文章:

  • 效率跃迁 ,亚数TrustAsia 加速证书管理迈向 CaaS 新阶段
  • 意象驱动的深层语义:感知认知统一对自然语言处理与知识图谱的影响
  • 活性数据处理与标准化
  • 在互联网大厂的Java面试:谢飞机的搞笑历险记
  • 学习 k 均值聚类算法的心得
  • 2025-08-21 Python进阶8——命名空间作用域
  • gRPC 与 HTTP 性能对比分析
  • 微算法科技(NASDAQ:MLGO)构建去中性化区块链预言机,实现跨链信息互通
  • 使用 X11 转发服务器界面
  • 整体设计 之定稿 “凝聚式中心点”原型 --整除:智能合约和DBMS的在表层挂接 能/所 依据的深层套接 之2
  • 迅为R3568开发板OpeHarmony学习开发手册-配置远程访问环境
  • Typescript入门-函数讲解
  • 面试后的跟进策略:如何提高录用几率并留下专业印象
  • Shell 变量全解析:从基础到高级技巧
  • C语言基础习题——01
  • mac的m3芯片安装JDK8、JDK17
  • QWidget/QMainWindow与QLayout的布局
  • 家里Windows,公司Linux?通过cpolar,WSL开发环境无缝切换
  • 【STM32】HAL库中的实现(九):SPI(串行外设接口)
  • 智能求职推荐系统演示说明
  • 封装FTPSClient连接ftps服务器
  • 27、设备状态监测与维护管理 (模拟电机振动) - /安全与维护组件/device-condition-monitoring
  • 【用户管理】修改文件权限
  • DeepSeek V3.1正式发布,专为下代国产芯设计
  • opencv学习:图像边缘检测
  • 8.21IPSEC安全基础后篇,IKE工作过程
  • 基于Matlab的饮料满瓶检测图像处理
  • 面试压力测试破解:如何从容应对棘手问题与挑战
  • 火语言 RPA 进阶功能:让自动化更实用​
  • 利用DeepSeek编写调用系统命令用正则表达式替换文件中文本的程序