10G MAC层设计系列-(4)MAC TX模块

一、前言

MAC TX模块就是要将IP层传输过来的数据封装前导码、MAC地址、帧类型以及进行CRC校验,并与CRC值一块组成以太网帧。

二、模块设计

首先对输入的数据进行缓存,原因是在之后要进行封装MAC帧头,所以需要控制数据流的流动

FIFO_DATA_64X256 U_FIFO_DATA_64X256 (.clk      (i_clk              ), .srst     (i_rst              ), .din      (rs_axis_data       ), .wr_en    (rs_axis_valid      ), .rd_en    (r_fifo_data_rden   ), .dout     (w_fifo_data_out    ), .full     (w_fifo_data_full   ), .empty    (w_fifo_data_empty  )  
);FIFO_LEN_16X32 u_FIFO_LEN_16X32 (.clk      (i_clk                  ),.srst     (i_rst                  ),.din      (rs_axis_user[79:64]    ),.wr_en    (rs_axis_last           ),.rd_en    (r_fifo_len_rden        ), .dout     (w_fifo_len_out         ), .full     (w_fifo_len_full        ), .empty    (w_fifo_len_empty       )  
);FIFO_LEN_16X32 u_FIFO_TYPE_16X32 (.clk      (i_clk                  ),.srst     (i_rst                  ),.din      (rs_axis_user[15:0]     ),.wr_en    (rs_axis_last           ),.rd_en    (r_fifo_type_rden       ), .dout     (w_fifo_type_out        ), .full     (w_fifo_type_full       ), .empty    (w_fifo_type_empty      )  
);FIFO_KEEP_8X32 u_FIFO_KEEP_8X32 (.clk      (i_clk                  ), .srst     (i_rst                  ), .din      (rs_axis_keep           ), .wr_en    (rs_axis_last           ), .rd_en    (r_fifo_keep_rden       ),  .dout     (w_fifo_keep_out        ),  .full     (w_fifo_keep_full       ),  .empty    (w_fifo_keep_empty      )  
);

检测到帧长FIFO不为空之后,读取帧长、KEEP信息、TYPE信息,并根据帧长读取数据,在此过程中需要将数据转换成xgmii接口形式,并封装前导码、MAC地址、帧类型、尾部CRC。同时需要在帧起始加入起始符FB、帧结束需要加入结束符FD,在空闲时发送07.同时需要是用控制信号指示控制符。

always@(posedge i_clk,posedge i_rst)beginif(i_rst)ro_xgmii_txd <= {{8{8'h07}}};elseif(r_cnt == 0|| r_cnt == 1 || r_cnt == 2)ro_xgmii_txd <= {{8{8'h07}}};else if(r_cnt == 3)ro_xgmii_txd <= 64'hFB_55_55_55_55_55_55_55;else if(r_cnt == 4)ro_xgmii_txd <= {8'hd5,ri_set_target_mac,ri_set_source_mac[47:40]};else if(r_cnt == 5)ro_xgmii_txd <= {ri_set_source_mac[39:0],w_fifo_type_out,r_fifo_data_out_ff1[63:56]};// else if(r_cnt == 2)//     ro_xgmii_txd <= {w_fifo_type_out[7:0],w_fifo_data_out[63:8]};else if(r_cnt == w_fifo_len_out + 5)case(w_fifo_keep_out)8'b1111_1111:ro_xgmii_txd <= {r_fifo_data_out_ff4[55:0],r_crc_result[31:24]};8'b1111_1110:ro_xgmii_txd <= {r_fifo_data_out_ff4[55:8],r_crc_result[31:16]};8'b1111_1100:ro_xgmii_txd <= {r_fifo_data_out_ff4[55:16],r_crc_result[31:8]};8'b1111_1000:ro_xgmii_txd <= {r_fifo_data_out_ff4[55:24],r_crc_result[31:0]};8'b1111_0000:ro_xgmii_txd <= {r_fifo_data_out_ff4[55:32],r_crc_result[31:0],8'hFD};8'b1110_0000:ro_xgmii_txd <= {r_fifo_data_out_ff4[55:40],r_crc_result[31:0],8'hFD,{{8'h07}}};8'b1100_0000:ro_xgmii_txd <= {r_fifo_data_out_ff4[55:48],r_crc_result[31:0],8'hFD,{2{8'h07}}};8'b1000_0000:ro_xgmii_txd <= {r_crc_result[31:0],8'hFD,{3{8'h07}}};default     :ro_xgmii_txd <= 'd0;endcaseelse if(r_cnt == w_fifo_len_out + 6)case(w_fifo_keep_out)8'b1111_1111:ro_xgmii_txd <= {r_crc_result[23:0],8'hFD,{4{8'h07}}};8'b1111_1110:ro_xgmii_txd <= {r_crc_result[15:0],8'hFD,{5{8'h07}}};8'b1111_1100:ro_xgmii_txd <= {r_crc_result[7:0],8'hFD,{6{8'h07}}};8'b1111_1000:ro_xgmii_txd <= {8'hFD,{7{8'h07}}};// 8'b1111_0000:ro_xgmii_txd <= {8'hFD,{7{8'h07}}};// 8'b1110_0000:ro_xgmii_txd <= {8'hFD,{7{8'h07}}};// 8'b1100_0000:ro_xgmii_txd <= {r_fifo_data_out_ff3[55:48],r_crc_result,8'hFD,{2{8'h07}}};// 8'b1000_0000:ro_xgmii_txd <= {r_crc_result,8'hFD,{3{8'h07}}};default     :ro_xgmii_txd <= {{8{8'h07}}};endcase// else if(r_cnt == w_fifo_len_out + 5)//     case(w_fifo_keep_out)//         8'b1111_1111:ro_xgmii_txd <= {r_crc_result[7:0],8'hFD,{6{8'h07}}};//         8'b1111_1110:ro_xgmii_txd <= {r_crc_result[15:0],8'hFD,{5{8'h07}}};//         8'b1111_1100:ro_xgmii_txd <= {r_crc_result[7:0],8'hFD,{6{8'h07}}};//         8'b1111_1000:ro_xgmii_txd <= {8'hFD,{7{8'h07}}};//         default     :ro_xgmii_txd <= {8{8'h07}};//     endcase        elsero_xgmii_txd <= {r_fifo_data_out_ff4[55:0],r_fifo_data_out_ff3[63:56]};
end
//
always@(posedge i_clk,posedge i_rst)beginif(i_rst)ro_xgmii_txc <= 8'hff;elseif( r_cnt == 0 || r_cnt == 1 || r_cnt == 2)ro_xgmii_txc <= 8'b1111_1111;else if(r_cnt == 3)ro_xgmii_txc <= 8'b1000_0000;// else if(r_cnt == 2)//     ro_xgmii_txd <= {w_fifo_type_out[7:0],w_fifo_data_out[63:8]};// else if(r_cnt == w_fifo_len_out + 2)//     case(w_fifo_keep_out)//         8'b1111_1111:ro_xgmii_txd <= {r_fifo_data_out_ff2[55:0],r_fifo_data_out_ff1[63:56]};//         8'b1111_1110:ro_xgmii_txd <= {r_fifo_data_out_ff2[55:0],r_fifo_data_out_ff1[63:56]};//         8'b1111_1100:ro_xgmii_txd <= {r_fifo_data_out_ff2[55:0],r_fifo_data_out_ff1[63:56]};//         8'b1111_1000:ro_xgmii_txd <= {r_fifo_data_out_ff2[55:0],r_fifo_data_out_ff1[63:56]};//         8'b1111_0000:ro_xgmii_txd <= {r_fifo_data_out_ff2[55:0],r_fifo_data_out_ff1[63:56]};//         8'b1110_0000:ro_xgmii_txd <= {r_fifo_data_out_ff2[55:0],r_fifo_data_out_ff1[63:56]};//         8'b1100_0000:ro_xgmii_txd <= {r_fifo_data_out_ff2[55:0],r_fifo_data_out_ff1[63:56]};//         8'b1000_0000:ro_xgmii_txd <= {r_fifo_data_out_ff2[55:0],r_fifo_data_out_ff1[63:56]};//         default     :ro_xgmii_txd <= {r_fifo_data_out_ff2[55:0],r_fifo_data_out_ff1[63:56]};//     endcaseelse if(r_cnt == w_fifo_len_out + 5)case(w_fifo_keep_out)// 8'b1111_1111:ro_xgmii_txc <= {r_fifo_data_out_ff2[55:0],r_crc_result[31:24]};// 8'b1111_1110:ro_xgmii_txd <= {r_fifo_data_out_ff2[55:8],r_crc_result[31:16]};// 8'b1111_1100:ro_xgmii_txd <= {r_fifo_data_out_ff2[55:16],r_crc_result[31:8]};// 8'b1111_1000:ro_xgmii_txd <= {r_fifo_data_out_ff2[55:24],r_crc_result[31:0]};8'b1111_0000:ro_xgmii_txc <= 8'b0000_0001;8'b1110_0000:ro_xgmii_txc <= 8'b0000_0011;8'b1100_0000:ro_xgmii_txc <= 8'b0000_0111;8'b1000_0000:ro_xgmii_txc <= 8'b0000_1111;default     :ro_xgmii_txc <= 'd0;endcaseelse if(r_cnt == w_fifo_len_out + 6)case(w_fifo_keep_out)8'b1111_1111:ro_xgmii_txc <= 8'b0001_1111;8'b1111_1110:ro_xgmii_txc <= 8'b0011_1111;8'b1111_1100:ro_xgmii_txc <= 8'b0111_1111;8'b1111_1000:ro_xgmii_txc <= 8'b1111_1111;default     :ro_xgmii_txc <= 8'b1111_1111;endcase        elsero_xgmii_txc <= 8'b0000_0000;
end

三、仿真图

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.xdnf.cn/news/1411880.html

如若内容造成侵权/违法违规/事实不符,请联系一条长河网进行投诉反馈,一经查实,立即删除!

相关文章

SFT430C 用于快速充电协议和QC2.0/3.0的USB专用充电端口控制器芯片IC

一般描述 SFT430C是HiSicon快速充电协议(FCP)和高通快速充电协议控制器“2.0/3.0(质量控制2.0/3.0 )USB接口该设备可对FCP或QC2.0/3.0供电设备(PD)进行快速充电。该协议功能监测USB D/D-数据线电压或D-数据线传输&#xff0c;并自动调整移动电源和墙壁适配器的输出电压&…

【北京仁爱堂】事出有因,原来是“肝”出现问题,才导致了痉挛性斜颈

痉挛性斜颈是肌张力障碍疾病中的一种&#xff0c;局限于颈部肌肉。由于颈部肌肉间断或持续的不自主的收缩&#xff0c;导致头颈部扭曲、歪斜、姿势异常。一般在30&#xff5e;40岁发病。由于痉挛性斜颈病因不明&#xff0c;西医方面药物及手术的临床疗效不甚理想&#xff0c;而…

二.Django项目之电商购物商城 -- 校验用户输入密码是否合法

Django项目之电商购物商城 – 校验用户输入密码是否合法 需要开发文档和前端资料的可私聊 一. 创建用户逻辑操作 1. 创建用户app – users python manage.py startapp users2.注册app users.apps.UsersConfig,3. 创建视图 from django.shortcuts import render from djan…

招了个牛逼的DBA,问题少了一半,老油条慌了...

&#x1f4e2;&#x1f4e2;&#x1f4e2;&#x1f4e3;&#x1f4e3;&#x1f4e3; 作者&#xff1a;IT邦德 中国DBA联盟(ACDU)成员&#xff0c;10余年DBA工作经验&#xff0c; Oracle、PostgreSQL ACE CSDN博客专家及B站知名UP主&#xff0c;全网粉丝10万 擅长主流Oracle、My…

题目:德州扑克

问题描述&#xff1a; 解题思路&#xff1a; 因为题目太长就跳过这道题了&#xff0c;其实这题是一个签到题。因为给定了5张牌&#xff0c;并且顺序是递增的&#xff0c;所以我们只需要模拟每种牌型&#xff08;从大到小去模拟判断&#xff09;即可。 注意点&#xff1a;因为有…

for循环赋值

在for循环内将i赋值给j的问题 for(int i0,ji1;i<5;i){//此时j只会等于1cout<<"i-"<<i<<" j-"<<j<<endl; }如图&#xff1a; 将j放入循环体后没问题 for(int i0;i<5;i){int j i1; cout<<"i-"<<…

D2-一种将文本转换为图表的现代图表脚本语言

&#x1f310; 开源页面 https://github.com/terrastruct/d2 &#x1f310; 在线体验 https://play.d2lang.com/ 与同类型工具对比 &#x1f4c4;通过简单的描述文本&#xff0c;D2 便会自动生成高质量图表&#xff0c;该脚本语言简单易用&#xff0c;可定制性强&#xff0c;…

【电路笔记】-Twin-T振荡器

Twin-T振荡器 文章目录 Twin-T振荡器1、概述2、Twin-T振荡器3、Twin-T放大4、Twin-T 振荡器示例5、总结Twin-T 振荡器是另一种 RC 振荡器电路,它使用两个并联的 RC 网络来产生单一频率的正弦输出波形。 1、概述 Twin-T 振荡器是另一种类型的 RC 振荡器,它产生正弦波输出,用…

Go实战训练之Web Server 与路由树

Server & 路由树 Server Web 核心 对于一个 Web 框架&#xff0c;至少要提供三个抽象&#xff1a; Server&#xff1a;代表服务器的抽象Context&#xff1a;表示上下文的抽象路由树 Server 从特性上来说&#xff0c;至少要提供三部分功能&#xff1a; 生命周期控制&…

Linux理解文件操作 文件描述符fd 理解重定向 dup2 缓冲区 C语言实现自己的shell

文章目录 前言一、文件相关概念与操作1.1 open()1.2 close()1.3 write()1.4 read()1.4 写入的时候先清空文件内容再写入1.5 追加&#xff08;a && a&#xff09; 二、文件描述符2.1 文件描述符 fd 0 1 2 的理解2.2 FILE结构体&#xff1a;的源代码 三、深入理解文件描述…

npm安装依赖报错解决办法

项目场景&#xff1a; 安装gitee上的开源vue3TS项目&#xff0c;npm安装依赖报错 问题描述 克隆项目到VSCode之后&#xff0c;使用npm install安装依赖&#xff0c;报错 npm install npm ERR! code ENOENT npm ERR! syscall open npm ERR! path Y:\WebStudyBlog\MovieWeb/p…

@Configuration自身循环依赖及解决办法

前言 最近做项目&#xff0c;把项目旧的Springboot框架升级到比较新的一个版本2.7.x&#xff0c;是最后支持JDK8的版本&#xff0c;JDK8寿命真长&#xff0c;不过pagehelper报了自身循环依赖的问题&#xff0c;解决方式也很简单&#xff0c;升级pagehelper的版本即可&#xff…

C++Linux系统编程——Linux基本命令(究极全)

1.Linux常见目录介绍 /&#xff1a;根目录&#xff0c;一般根目录下只存放目录&#xff0c;在Linux下有且只有一个根目录。所有的东西都是从这里开始。当你在终端里输入“/home”&#xff0c;你其实是在告诉电脑&#xff0c;先从/&#xff08;根目录&#xff09;开始&#xff0…

Java进阶【十三期】:【异常处理】 (抛出捕获异常、自定义异常处理)、处理异常的几种方式 【(File】文件路径操作、File文件处理的综合练习

文章目录 Java进阶【十三期】&#xff1a;异常处理一、异常基本介绍二、编译异常和运行异常三、总结 异常的作用异常的处理方式一、JVM默认的处理方式二、自己处理异常自己 处理的问题 三、总结 Throwable 成员方法抛出异常总结 异常练习自定义异常 FileFile 三个 构造方法File…

Linux实现简单进度条(附原理解释和动图效果)

1&#xff0c;行缓冲区 先看下面的代码和运行结果&#xff0c; #include<stdio.h> #include<unistd.h> int main() {printf("你好\n");sleep(3);return 0; }只是一个简单的打印“你好”然后休眠三秒&#xff0c;最后程序结束 再看下面的代码和运行结果…

从零到屎山系列-游戏开发(Day2)

简介 这次就来一个比较简单的小游戏贪吃蛇 贪吃蛇 游戏规则就是一串珠子不断的移动&#xff0c;碰到场景里面的食物变长一点&#xff0c;碰到墙壁游戏结束。 开始动手 设计绘制设备 首先我计划从一个控制台游戏开始&#xff0c;需要一个控制台下的绘图机制&#xff0c;希…

【C++】学习笔记——vector_2

文章目录 七、vector2. vecotr的使用3. vector的模拟实现 未完待续 七、vector 2. vecotr的使用 上节我们以二维数组结束&#xff0c;这一节我们以二维数组开始。 // 二维数组 vector<vector<int>> vv;二维数组在底层是连续的一维数组。vv[i][j] 是怎样访问的&a…

《Fundamentals of Power Electronics》——基础交流建模方法

PWM整流器小信号交流模型建模的主要步骤为&#xff1a; (a)利用小纹波近似的动态版本&#xff0c;建立与电感和电容波形的低频平均值有关的方程&#xff1b; (b)平均方程的扰动和线性化&#xff1b; (c)交流等效电路模型的建立。 以下图buck-boost电路为例进行分析。 首先测…

sofeSim vs eSIM vs iSIM

有任何关于GSMA\IOT\eSIM\RSP\业务应用场景相关的问题&#xff0c;欢迎W: xiangcunge59 一起讨论, 共同进步 (加的时候请注明: 来自CSDN-iot). ### SIM的演变 - 传统的SIM卡有多种尺寸或形态因子&#xff0c;包括1FF、2FF&#xff08;mini&#xff09;、3FF&#xff08;mic…

Pandas标签库

目录 1.创建对象 1.一维对象 1.字典创建法 2.数组创建法 2.二维对象 1.字典创建法 2.数组创建法 2.对象的索引 1.一维对象的索引 1.查询 2.切片 2.二维对象的索引 1.访问 2.修改 3.对象的变形 1.对象的转置 2.上下翻转和左右翻转 3.对象的重塑 4.一维对象的合…