当前位置: 首页 > java >正文

FPGA基础 -- Verilog行为级建模之alawys语句

Verilog 中的 always 语句块,这是行为级建模的核心结构之一,在 RTL 级设计中广泛用于时序逻辑组合逻辑的建模。


一、什么是 always 语句?

✅ 定义:

always 语句用于描述可综合的硬件行为逻辑,表示一个**“事件驱动”的过程块**,当敏感列表中某个信号发生变化时,always 块会立即触发执行。


二、基本语法格式

always @(sensitivity_list)begin// 过程性代码end

sensitivity_list 是触发该块执行的信号列表。


三、分类:三种常见 always 使用方式

类型敏感列表用途典型应用
时序逻辑posedge clk / negedge rst_n同步逻辑寄存器建模、状态机
组合逻辑(*) 或所有组合信号列出无时序行为算术逻辑单元(ALU)
异步逻辑posedge clk or negedge rst异步复位结构上电初始化控制

四、时序逻辑建模(推荐使用方式)

4.1 同步复位寄存器建模:

always @(posedge clk) beginif (!rst_n)q <= 0;elseq <= d;
end

✅ 非阻塞赋值 <=,确保“并行硬件”的表达。

4.2 异步复位建模:

always @(posedge clk or negedge rst_n) beginif (!rst_n)q <= 0;elseq <= d;
end

posedge clk or negedge rst_n:表示 rst_n 变化时立即响应。


五、组合逻辑建模

推荐使用 always @(*)

always @(*) begincase (sel)2'b00: y = a;2'b01: y = b;default: y = 0;endcase
end

✅ 使用 = 阻塞赋值。
✅ 确保对每个输出都完全赋值,否则综合工具会推断出 latch(锁存器)!


六、敏感列表详解

✅ 常见写法对比:

写法解释建议
always @(a or b or c)显式列出每个信号✅ 可控但冗长
always @(*)隐式敏感列表,表示所有用到的组合信号✅ 推荐用于组合逻辑
always @(posedge clk)上升沿触发(时序逻辑)✅ 推荐用于寄存器

七、阻塞/非阻塞赋值回顾(重点)

场景使用赋值类型示例
时序逻辑(同步时钟)非阻塞 <=q <= d;
组合逻辑阻塞 =y = a + b;

⚠️ 错误示例:混用赋值类型

always @(posedge clk) begina = b;   // ❌ 错误:阻塞赋值在时序逻辑中易引发竞态c <= a;  // 实际 c 得到的是旧值,不是当前周期的 b
end

八、综合注意事项(总结)

误用影响修正建议
@(*) 中漏掉信号可能漏更新,综合错误使用 @(*) 自动推导
混用 =<=引起竞态与不符合意图遵循赋值语义规则
不完全赋值可能推断 latch加默认路径 / default 分支
变量多处被赋值冲突或竞态避免变量在多个 always 中赋值

九、典型设计范式:分离时序与组合逻辑

// 状态寄存器
always @(posedge clk or negedge rst_n)if (!rst_n) state <= IDLE;else        state <= next_state;// 下一状态逻辑
always @(*) begincase (state)IDLE:      if (start) next_state = RUN;RUN:       if (done)  next_state = IDLE;default:             next_state = IDLE;endcase
end

✅ 这是 FSM 设计的推荐结构:组合逻辑决定 next_state,时序逻辑更新 state。


十、仿真辅助结构(testbench 中的 always

always #5 clk = ~clk;  // 每 5ns 翻转一次
  • 通常用于生成仿真用时钟;
  • 非综合代码,仅用于 initial 或 testbench。

十一、拓展:SystemVerilog 中的 always_ff / always_comb

类型SystemVerilog 替代特点
时序逻辑always_ff自动检查敏感列表,防止误用
组合逻辑always_comb自动推导敏感列表

✅ 更强语义检查,更安全可维护,但需要 SystemVerilog 工具支持。


十二、实战示例总结:组合 + 寄存器 + 状态机

// 组合逻辑:计数器是否达到最大值
always @(*) begindone = (cnt == 15);
end// 时序逻辑:计数器累加
always @(posedge clk or negedge rst_n) beginif (!rst_n)cnt <= 0;else if (en)cnt <= cnt + 1;
end

小结

内容核心要点
语义always 块是硬件中的过程行为
时序建模使用 posedge clk + 非阻塞赋值
组合建模使用 @(*) + 阻塞赋值,完整赋值
综合约束不可在综合逻辑中使用 #延迟
推荐实践将时序逻辑与组合逻辑分离建模,提高可读性与综合效果
http://www.xdnf.cn/news/14507.html

相关文章:

  • 【深度学习】卷积神经网络(CNN):计算机视觉的革命性引擎
  • 最新期刊影响因子,基本包含全部期刊
  • OpenStack入门体验
  • Oracle 详细解析及与 MySQL 的核心区别
  • AI时代的质量显得更为重要
  • 自动打电话软件设计与实现
  • 支持selenium的chrome driver更新到137.0.7151.119
  • 一站式用AI编程神奇Cursor/Trae(VScode环境)开发运行Scala应用
  • 《Effective Python》第九章 并发与并行——使用 Queue 实现并发重构
  • 【力扣 中等 C】2. 两数相加
  • 机器学习常用评估指标
  • win10/win11 快速隐藏/显示桌面图标
  • 亚矩阵云手机+Whatnot:直播电商的自动化增长引擎
  • Redis 持久化机制详解:RDB、AOF 原理与面试最佳实践(RDB篇)
  • SSL安全证书:数字时代的网络安全基石
  • 如何在 MX Linux 上安装 Blender CAD 软件
  • 学生成绩管理系统
  • 4. 时间序列预测的自回归和自动方法
  • 【MySQL】MySQL 数据库操作与设计
  • 使用 Java + WebSocket 实现简单实时双人协同 pk 答题
  • 【matlab】图片转视频
  • 网络编程TCP与UDP
  • 02 ( chrome 浏览器插件, 立马翻译), 搭建本地 api
  • 在劲牌工厂,探寻一瓶草本酒的科技之旅
  • 充电桩运维管理工具系统的**详细功能列表** - 慧知开源充电桩平台
  • 工业 AI Agent:智能化转型的核心驱动力
  • FPGA基础 -- Verilog语言要素之数组
  • 简说 python
  • Linux -- Ext系列文件系统介绍
  • Eureka、Nacos、Zookeeper 优雅上下线机制